当前位置:知识百答>百科问答>posedge

posedge

2023-08-09 01:48:33 编辑:join 浏览量:619

posedge

呵呵,clrn和clk都是你自己定义的信号.clk一般是时钟信号,clrn就不太容易猜了。这句话的意思是每当 clrn信号的下降沿,或者clk的上升沿是,就开始执行always下的语句啦。

给你举个例子。

module counter(clk,clrn,q);'一个16进制计数器clk为时钟,clrn为低电平复位信号

芝士回指答,版切权必究,未经行许结可,进不得转载

input clk,clrn;

output [2:0]q;

always(negedge clrn or posedge clk)

begin

动部二与比结常她离元群算低布习约厂。

if (!clrn)'有复位信号

子机料象别七改,每节段儿局。

begin

q<=4'b0000;

end

else if(clk)'时钟来啦~

begin

q<=q+8'b00000001;

if(q==4'b1111)

q<=4'b0000; '计数满后清零

end

end

endmodule

自己顺手写的,可能有语法错误哈哈。希望对你有帮助

negedge clrn 为:当clrn下降沿时触发

posedge clk 为:当clk上升沿时触发

合起来negedge clrn or posedge clk就是

未经可芝士回持答允许不得火转载本文内容,否么则西将视为侵权

当clrn下降沿时触发或当clk上升沿时触发

always @( )

是关键词,意思就是当()里的内容发生时执行下面的程序

标签:posedge

版权声明:文章由 知识百答 整理收集,来源于互联网或者用户投稿,如有侵权,请联系我们,我们会立即处理。如转载请保留本文链接:https://www.zhshbaida.com/answer/210557.html
热门文章